design the VHDL based temperature controller

已取消 已发布的 7 年前 货到付款
已取消 货到付款

- To design the VHDL based temperature controller that comprises of SPI

controller, Data converter, Memory block and Display drivers.

- To develop the test bench to test the design for both behavioral and timing

model using Modelsim.

- Implement the design in FPGA and accomplish the hardware testing. (optional)

FPGA Verilog / VHDL

项目ID: #13097924

关于项目

远程项目 活跃的7 年前